Меню Рубрики

Аналогово цифровое преобразование лежит в основе кодирования

Содержание

Рубрика: Технические науки

Дата публикации: 15.01.2017 2017-01-15

Статья просмотрена: 1613 раз

Библиографическое описание:

Магеррамов Р. В. Аналого-цифровое преобразование // Молодой ученый. — 2017. — №2. — С. 152-155. — URL https://moluch.ru/archive/136/38098/ (дата обращения: 24.11.2019).

Аналого-цифровое преобразование играет важную роль в современной электронной индустрии. Аналого-цифровой преобразователь (АЦП) позволяет получить цифровой код из непрерывного входного аналогового сигнала.

Область применения аналого-цифрового преобразования:

– Цифровые измерительные приборы

– Автоматизированные системы контроля и управления

– Системы преобразования и отображения данных

– Программируемые источники сигналов

– Аудио и видео аппаратура

– Антенные системы базовых станций

Потребность в аналого-цифровых преобразователях стимулирует их разработку и изготовление с новыми, более совершенными характеристиками, что в свою очередь приводит к возникновению новых областей применения.

Процедура преобразования

Преобразования аналогового сигнала включает в себя дискретизацию по времени иквантование по амплитуде входного сигнала.

Рис. 1. График дискретизации непрерывной функции

Аналого-цифровое преобразование включает в себя:

– Дискретизацию исходных аналоговых данных по времени, то есть происходит выборка значений входного аналогового сигнала в определенные дискретные моменты времени.

– Квантование полученных значений по уровню (амплитуде), то есть преобразование (округление) значений непрерывной функции до известных величин.

– Оцифровка квантованных данных, то есть замена полученных данных цифровым кодом

Процедура преобразования непрерывной функции реализуется с помощью АЦП (аналого-цифрового преобразователя). Основным и наиболее важным электронным компонентом измерительных и тестовых систем являются аналого-цифровые преобразователи, их точность определяет прецизионность тестового оборудования.

Функция АЦП заключается в преобразование входного аналогового сигнала (напряжения) в цифровой (дискретный) код, который в последствие поступает на различные цифровые блоки схемы, выполняющие необходимые операции с полученными данными. Данный процесс представляет собой преобразования непрерывной функции напряжения в цифровое представление.

Аналого-цифровое преобразование тесно переплетается с понятием измерения. Измерение — это процесс сравнения измеряемой величины с некоторым эталоном, в случае с аналого-цифровым преобразованием, происходит сравнение входного сигнала с опорным (опорным напряжением). Из этого следует, что преобразование есть не что иное, как изменение значения входной величины.

Основные параметры АЦП

Статические

Динамические

Максимальная частота дискретизации (преобразования)

Погрешность полной шкалы

Погрешность смещения нуля

Монотонность характеристики преобразования

Время выборки (стробирование)

Рис. 2. Типы АЦП — график разрешения в зависимости от частоты преобразования АЦП

Разрешающая способность и скорость преобразования являются определяющими параметры АЦП. В зависимости от данных параметров определяется тип архитектуры АЦП, который будет в последствие изготовлен для той или иной системе.

Дискретизация аналогового сигнала

Дискретизация (от лат. discretio — различать) — преобразование непрерывной функции в дискретную функцию. Задача дискретизации заключается в том, что непрерывность во времени аналогового сигнала преобразуется в последовательность цифровых импульсов, уровни которых определяются благодаря весовым функциям (квантование). Точность преобразования аналогового сигнала прямо пропорциональна интервалам разбиения непрерывной функции. Значения дискретного входного сигнала определяются дискретными значениями интервалов времени.

Рис. 3. Дискретизации непрерывного сигнала: а-избыточная; б-нормальная; в-найквистовская; г-неправильная

Теорема Найквиста:

Непрерывная функция (аналоговый сигнал) может быть полностью восстановлена без потери данных по своим дискретным отсчетам только в том случае, если частота дискретизации больше чем в 2 раза максимальной частоты спектра входного сигнала

Fнайкв — частота выборки, Fвх.сигнал — максимальная частота входного сигнала

В случае если частота дискретизации значительно выше частоты Найквиста, то в данном случае пользуются термином передискретизация «oversampling». Для того, что бы получить наиболее точное преобразования входного сигнала, на практике обычно использует максимально возможную частоту преобразования АЦП. Во время преобразования спектр входного сигнала состоит не только из «полезных» данных, но в сигнале возможно наличие различных искажений, которые могут быть вызваны высокочастотными шумами. Для того что бы исключить помехи из «полезного» сигнала используют различные фильтры.

Заключение

В данной статье было рассмотрено понятие аналого-цифрового преобразования и устройства, с помощью которого осуществляется данная операция. Современная наука не стоит на месте, с каждым новым моментов времени технический прогресс неизбежно стремится вперёд, производя на рынок микроэлектроники все новые и более усовершенствованные устройства. АЦП является одним из основных компонентов электроники, которые главным образом применяются для совместного сопряжения цифровых блоков и систем с внешними аналоговыми сигналами.

С помощью АЦП выполняются операции преобразования аналоговых данных в цифровую форму. В данный момент АЦП может быть изготовлено в интегральной микросхеме. Нынешние возможности для реализации различных обработок звука и изображения осуществляются уже в цифровой форме. Но, не смотря на это, в качестве основной периферии (датчиков сигналов, микрофонов, ТВ «трубок» и т. д.), аппаратуры воспроизведения звука и изображения используются аналоговые устройства.

  1. Миндеева А. А. — Элементная база аналоговых схем, учебное пособие, 2012
  2. Алексеенко А. Г. — Основы микросхемотехники. 3-е издание, Лаборатория Базовых знаний: Физматлит Юнимедиастал, 2002
  3. Эннс В. И., Кобзев Ю. М. — Проектирование аналоговых КМОП-микросхем, 2005
  4. Соклоф С. — Аналоговые интегральные схемы, 1988
  5. Опадчий Ю. Ф., Гуров А. И. — Аналоговая и цифровая электроника, 2005
  6. Хоровиц П., Хилл У. — Искусство схемотехники. В трех томах, 2003
  7. Умняшкин С. В. — Теоретические основы цифровой обработки и представления сигналов, ТЕХНОСФЕРА, Москва 2012
  8. Baker R. J., Li H. W., Boyce D. E. — CMOS. Circuit design, Layout, and Simulation (2nd Edition), 2005
  9. Razavi B. — Design of Analog CMOS Integrated Circuits, 2000
Читайте также:  Защитная панель мостового крана назначение и устройство

Вступление

Типы сигналов

Прежде чем разбираться в самих преобразованиях нужно знать, какие сигналы существуют. А их 3 типа:

  • Аналоговые
  • Дискретные
  • Цифровые

Аналоговые – это сигналы непрерывные во времени, они определены во все моменты времени.
Дискретные – это сигналы представленные последовательностью отсчётов, т.е. значениями сигналов в дискретные моменты времени.
Цифровые – это сигналы дискретные во времени (или в пространстве) и квантованные по уровню. Вычислительные процедуры в компьютере выполняются именно в цифровых сигналах.

Для того, что бы компьютер мог выполнить обработку сигнала необходимо выполнить преобразование сигнала из аналоговой формы в цифровую.
После обработки выполняется обратное преобразование, поскольку большинство бытовых устройств управляются аналоговыми сигналами.

Структурная схема цифровой обработки сигнала в общем виде выглядит следующим образом:

Аналого-цифровое преобразование сигнала

Аналого-цифровое преобразование сигнала включает в себя два этапа:

  1. Дискретизация сигнала (во времени или пространстве)
  2. Квантование по уровню

На этапе дискретизации берутся отсчёты сигнала с некоторым периодом дискретизации (Т).
Частоту дискретизации можно определить по формуле

Процесс получения отсчёта входного сигнала должен занимать очень малую часть периода дискретизации, что бы снизить динамические ошибки преобразования, обусловленные изменением сигнала за время снятия отсчёта.

Частота дискретизации выбирается из теоремы Котельникова. В ней утверждается, что для того что бы по отсчётам сигнала можно было бы сколь угодно точно восстановить непрерывный сигнал необходимо что бы частота дискретизации не менее чем в два раза превосходила верхнюю частоту спектра дискретизируемого сигнала.

Любой сигнал имеет своё спектральное представление. Любое представление сигнала – это представление в виде суммы (или интеграла) гармонических составляющих (синусоид и косинусоид), различных частот взятых с определёнными весовыми коэффициентами (имеющими определённую амплитуду)
Для периодических сигналов это сумма, для непериодический – интеграл.
Переход к спектру сигнала осуществляется с помощью прямого преобразования Фурье.

Рассмотрим переход к спектральному представлению в виде периодической функции:

Как известно периодическая функция удовлетворяющая условию Дирихле может быть представлена рядом гармонических функций.

По формуле Эйлера любое выражение можно представить в виде
— частота первой гармоники

— частота n-ой гармоники

— круговая частота n-ой гармоники

— комплексная амплитуда гармоники, где — фазовый спектр.

Совокупность амплитуд гармоник ряда Фурье называется амплитудным спектром, а совокупность их фаз называется фазовым спектром.

Для непериодический функции , а тогда заменяется непрерывно изменяющейся частотой => сумма заменяется интегралом.

Прямое преобразование Фурье для непериодического сигнала

Таким образом спектр непериодической функции представляется суммой бесконечного количества гармонических колебаний, частоты которых расположены бесконечно близко друг к другу.

Квантование сигнала по уровню

Количество уровней квантования определяется по формуле
n — количество разрядов
N — уровень квантования

Выбор количества уровней квантования сигналов производится на основе компромиссного подхода, учитывающего с одной стороны необходимость достаточно точного представления сигнала, что требует большого числа уровней квантования, а с другой стороны количество уровней квантования должно быть меньше, что бы разрядность кода была минимальной.

На этом я закончу свою статью, что бы не перегружать читателя лишней информацией. Удачи в начинаниях!

В этой статье рассмотрены основные вопросы, касающиеся принципа действия АЦП различных типов. При этом некоторые важные теоретические выкладки, касающиеся математического описания аналого-цифрового преобразования остались за рамками статьи, но приведены ссылки, по которым заинтересованный читатель сможет найти более глубокое рассмотрение теоретических аспектов работы АЦП. Таким образом, статья касается в большей степени понимания общих принципов функционирования АЦП, чем теоретического анализа их работы.

"

В качестве отправной точки дадим определение аналого-цифровому преобразованию. Аналого-цифровое преобразование – это процесс преобразования входной физической величины в ее числовое представление. Аналого-цифровой преобразователь – устройство, выполняющее такое преобразование. Формально, входной величиной АЦП может быть любая физическая величина – напряжение, ток, сопротивление, емкость, частота следования импульсов, угол поворота вала и т.п. Однако, для определенности, в дальнейшем под АЦП мы будем понимать исключительно преобразователи напряжение-код.

Понятие аналого-цифрового преобразования тесно связано с понятием измерения. Под измерением понимается процесс сравнения измеряемой величины с некоторым эталоном, при аналого-цифровом преобразовании происходит сравнение входной величины с некоторой опорной величиной (как правило, с опорным напряжением). Таким образом, аналого-цифровое преобразование может рассматриваться как измерение значения входного сигнала, и к нему применимы все понятия метрологии, такие, как погрешности измерения.

Основные характеристики АЦП

АЦП имеет множество характеристик, из которых основными можно назвать частоту преобразования и разрядность. Частота преобразования обычно выражается в отсчетах в секунду (samples per second, SPS), разрядность – в битах. Современные АЦП могут иметь разрядность до 24 бит и скорость преобразования до единиц GSPS (конечно, не одновременно). Чем выше скорость и разрядность, тем труднее получить требуемые характеристики, тем дороже и сложнее преобразователь. Скорость преобразования и разрядность связаны друг с другом определенным образом, и мы можем повысить эффективную разрядность преобразования, пожертвовав скоростью.

Читайте также:  Большая газовая плита с двумя духовками

Существует множество типов АЦП, однако в рамках данной статьи мы ограничимся рассмотрением только следующих типов:

  • АЦП параллельного преобразования (прямого преобразования, flash ADC)
  • АЦП последовательного приближения (SAR ADC)
  • дельта-сигма АЦП (АЦП с балансировкой заряда)

Существуют также и другие типы АЦП, в том числе конвейерные и комбинированные типы, состоящие из нескольких АЦП с (в общем случае) различной архитектурой. Однако приведенные выше архитектуры АЦП являются наиболее показательными в силу того, что каждая архитектура занимает определенную нишу в общем диапазоне скорость-разрядность.

Наибольшим быстродействием и самой низкой разрядностью обладают АЦП прямого (параллельного) преобразования. Например, АЦП параллельного преобразования TLC5540 фирмы Texas Instruments обладает быстродействием 40MSPS при разрядности всего 8 бит. АЦП данного типа могут иметь скорость преобразования до 1 GSPS. Здесь можно отметить, что еще большим быстродействием обладают конвейерные АЦП (pipelined ADC), однако они являются комбинацией нескольких АЦП с меньшим быстродействием и их рассмотрение выходит за рамки данной статьи.

Среднюю нишу в ряду разрядность-скорость занимают АЦП последовательного приближения. Типичными значениями является разрядность 12-18 бит при частоте преобразования 100KSPS-1MSPS.

Наибольшей точности достигают сигма-дельта АЦП, имеющие разрядность до 24 бит включительно и скорость от единиц SPS до единиц KSPS.

Еще одним типом АЦП, который находил применение в недавнем прошлом, является интегрирующий АЦП. Интегрирующие АЦП в настоящее время практически полностью вытеснены другими типами АЦП, но могут встретиться в старых измерительных приборах.

АЦП прямого преобразования

АЦП прямого преобразования получили широкое распространение в 1960-1970 годах, и стали производиться в виде интегральных схем в 1980-х. Они часто используются в составе «конвейерных» АЦП (в данной статье не рассматриваются), и имеют разрядность 6-8 бит при скорости до 1 GSPS.

Архитектура АЦП прямого преобразования изображена на рис. 1

Рис. 1. Структурная схема АЦП прямого преобразования

Принцип действия АЦП предельно прост: входной сигнал поступает одновременно на все «плюсовые» входы компараторов, а на «минусовые» подается ряд напряжений, получаемых из опорного путем деления резисторами R. Для схемы на рис. 1 этот ряд будет таким: (1/16, 3/16, 5/16, 7/16, 9/16, 11/16, 13/16) Uref, где Uref – опорное напряжение АЦП.

Пусть на вход АЦП подается напряжение, равное 1/2 Uref. Тогда сработают первые 4 компаратора (если считать снизу), и на их выходах появятся логические единицы. Приоритетный шифратор (priority encoder) сформирует из «столбца» единиц двоичный код, который фиксируется выходным регистром.

Теперь становятся понятны достоинства и недостатки такого преобразователя. Все компараторы работают параллельно, время задержки схемы равно времени задержки в одном компараторе плюс время задержки в шифраторе. Компаратор и шифратор можно сделать очень быстрыми, в итоге вся схема имеет очень высокое быстродействие.

Но для получения N разрядов нужно 2^N компараторов (и сложность шифратора тоже растет как 2^N). Схема на рис. 1. содержит 8 компараторов и имеет 3 разряда, для получения 8 разрядов нужно уже 256 компараторов, для 10 разрядов – 1024 компаратора, для 24-битного АЦП их понадобилось бы свыше 16 млн. Однако таких высот техника еще не достигла.

АЦП последовательного приближения

АЦП последовательного приближения реализует алгоритм «взвешивания», восходящий еще к Фибоначчи. В своей книге «Liber Abaci» (1202 г.) Фибоначчи рассмотрел «задачу о выборе наилучшей системы гирь», то есть о нахождении такого ряда весов гирь, который бы требовал для нахождения веса предмета минимального количества взвешиваний на рычажных весах. Решением этой задачи является «двоичный» набор гирь. Подробнее о задаче Фибоначчи можно прочитать, например, здесь: http://www.goldenmuseum.com/2015AMT_rus.html.

Аналого-цифровой преобразователь последовательного приближения (SAR, Successive Approximation Register) измеряет величину входного сигнала, осуществляя ряд последовательных «взвешиваний», то есть сравнений величины входного напряжения с рядом величин, генерируемых следующим образом:

1. на первом шаге на выходе встроенного цифро-аналогового преобразователя устанавливается величина, равная 1/2Uref (здесь и далее мы предполагаем, что сигнал находится в интервале (0 – Uref).

2. если сигнал больше этой величины, то он сравнивается с напряжением, лежащим посередине оставшегося интервала, т.е., в данном случае, 3/4Uref. Если сигнал меньше установленного уровня, то следующее сравнение будет производиться с меньшей половиной оставшегося интервала (т.е. с уровнем 1/4Uref).

3. Шаг 2 повторяется N раз. Таким образом, N сравнений («взвешиваний») порождает N бит результата.

Рис. 2. Структурная схема АЦП последовательного приближения.

Таким образом, АЦП последовательного приближения состоит из следующих узлов:

1. Компаратор. Он сравнивает входную величину и текущее значение «весового» напряжения (на рис. 2. обозначен треугольником).

2. Цифро-аналоговый преобразователь (Digital to Analog Converter, DAC). Он генерирует «весовое» значение напряжения на основе поступающего на вход цифрового кода.

3. Регистр последовательного приближения (Successive Approximation Register, SAR). Он осуществляет алгоритм последовательного приближения, генерируя текущее значение кода, подающегося на вход ЦАП. По его названию названа вся данная архитектура АЦП.

Читайте также:  Зазоры при монтаже вагонки

4. Схема выборки-хранения (Sample/Hold, S/H). Для работы данного АЦП принципиально важно, чтобы входное напряжение сохраняло неизменную величину в течение всего цикла преобразования. Однако «реальные» сигналы имеют свойство изменяться во времени. Схема выборки-хранения «запоминает» текущее значение аналогового сигнала, и сохраняет его неизменным на протяжении всего цикла работы устройства.

Достоинством устройства является относительно высокая скорость преобразования: время преобразования N-битного АЦП составляет N тактов. Точность преобразования ограничена точностью внутреннего ЦАП и может составлять 16-18 бит (сейчас стали появляться и 24-битные SAR ADC, например, AD7766 и AD7767).

И, наконец, самый интересный тип АЦП – сигма-дельта АЦП, иногда называемый в литературе АЦП с балансировкой заряда. Структурная схема сигма-дельта АЦП приведена на рис. 3.

Рис.3. Структурная схема сигма-дельта АЦП.

Принцип действия данного АЦП несколько более сложен, чем у других типов АЦП. Его суть в том, что входное напряжение сравнивается со значением напряжения, накопленным интегратором. На вход интегратора подаются импульсы положительной или отрицательной полярности, в зависимости от результата сравнения. Таким образом, данный АЦП представляет собой простую следящую систему: напряжение на выходе интегратора «отслеживает» входное напряжение (рис. 4). Результатом работы данной схемы является поток нулей и единиц на выходе компаратора, который затем пропускается через цифровой ФНЧ, в результате получается N-битный результат. ФНЧ на рис. 3. Объединен с «дециматором», устройством, снижающим частоту следования отсчетов путем их «прореживания».

Рис. 4. Сигма-дельта АЦП как следящая система

Ради строгости изложения, нужно сказать, что на рис. 3 изображена структурная схема сигма-дельта АЦП первого порядка. Сигма-дельта АЦП второго порядка имеет два интегратора и две петли обратной связи, но здесь рассматриваться не будет. Интересующиеся данной темой могут обратиться к [3].

На рис. 5 показаны сигналы в АЦП при нулевом уровне на входе (сверху) и при уровне Vref/2 (снизу).

Рис. 5. Сигналы в АЦП при разных уровнях сигнала на входе.

Более наглядно работу сигма-дельта АЦП демонстрирует небольшая программа, находящаяся тут: http://designtools.analog.com/dt/sdtutorial/sdtutorial.html.

Теперь, не углубляясь в сложный математический анализ, попробуем понять, почему сигма-дельта АЦП обладают очень низким уровнем собственных шумов.

Рассмотрим структурную схему сигма-дельта модулятора, изображенную на рис. 3, и представим ее в таком виде (рис. 6):

Рис. 6. Структурная схема сигма-дельта модулятора

Здесь компаратор представлен как сумматор, который суммирует непрерывный полезный сигнал и шум квантования.

Пусть интегратор имеет передаточную функцию 1/s. Тогда, представив полезный сигнал как X(s), выход сигма-дельта модулятора как Y(s), а шум квантования как E(s), получаем передаточную функцию АЦП:

То есть, фактически сигма-дельта модулятор является фильтром низких частот (1/(s+1)) для полезного сигнала, и фильтром высоких частот (s/(s+1)) для шума, причем оба фильтра имеют одинаковую частоту среза. Шум, сосредоточенный в высокочастотной области спектра, легко удаляется цифровым ФНЧ, который стоит после модулятора.

Рис. 7. Явление «вытеснения» шума в высокочастотную часть спектра

Однако следует понимать, что это чрезвычайно упрощенное объяснение явления вытеснения шума (noise shaping) в сигма-дельта АЦП.

Итак, основным достоинством сигма-дельта АЦП является высокая точность, обусловленная крайне низким уровнем собственного шума. Однако для достижения высокой точности нужно, чтобы частота среза цифрового фильтра была как можно ниже, во много раз меньше частоты работы сигма-дельта модулятора. Поэтому сигма-дельта АЦП имеют низкую скорость преобразования.

Они могут использоваться в аудиотехнике, однако основное применение находят в промышленной автоматике для преобразования сигналов датчиков, в измерительных приборах, и в других приложениях, где требуется высокая точность. но не требуется высокой скорости.

Самым старым упоминанием АЦП в истории является, вероятно, патент Paul M. Rainey, «Facsimile Telegraph System,» U.S. Patent 1,608,527, Filed July 20, 1921, Issued November 30, 1926. Изображенное в патенте устройство фактически является 5-битным АЦП прямого преобразования.

Рис. 8. Первый патент на АЦП

Рис. 9. АЦП прямого преобразования (1975 г.)

Устройство, изображенное на рисунке, представляет собой АЦП прямого преобразования MOD-4100 производства Computer Labs, 1975 года выпуска, собранный на основе дискретных компараторов. Компараторов 16 штук (они расположены полукругом, для того, чтобы уравнять задержку распространения сигнала до каждого компаратора), следовательно, АЦП имеет разрядность всего 4 бита. Скорость преобразования 100 MSPS, потребляемая мощность 14 ватт.

На следующем рисунке изображена продвинутая версия АЦП прямого преобразования.

Рис. 10. АЦП прямого преобразования (1970 г.)

Устройство VHS-630 1970 года выпуска, произведенное фирмой Computer Labs, содержало 64 компаратора, имело разрядность 6 бит, скорость 30MSPS и потребляло 100 ватт (версия 1975 года VHS-675 имела скорость 75 MSPS и потребление 130 ватт).

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *